class Program { public void main() { mixed $foo; $foo = '0'; var_dump($foo); $foo += 2; var_dump($foo); $foo = 1; var_dump($foo); $foo += 1.3; var_dump($foo); $foo = 5 + "10 Little Piggies"; var_dump($foo); $foo = 5 + 10; var_dump($foo); $foo = 'car'; $foo[0] = 'b'; var_dump($foo); $foo = 10; $foo = (bool) $foo; var_dump($foo); $foo = ''; var_dump($foo); } }