Aer/tests/type_juggle.aer
belliash 08296110fb
All checks were successful
The build was successful.
Temporarily fix tests.
2018-09-23 17:51:47 +02:00

27 lines
403 B
Plaintext

class Program {
function main() {
mixed $foo;
$foo = '0';
var_dump($foo);
$foo += 2;
var_dump($foo);
$foo = 1;
var_dump($foo);
$foo += 1.3;
var_dump($foo);
$foo = 5 + "10 Little Piggies";
var_dump($foo);
$foo = 5 + 10;
var_dump($foo);
$foo = 'car';
$foo[0] = 'b';
var_dump($foo);
$foo = 10;
$foo = (bool) $foo;
var_dump($foo);
$foo = '';
var_dump($foo);
}
}